CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - binary to bcd vhdl

搜索资源列表

  1. VHDL范例

    1下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. 基于vhdl的二进制转BCD码的设计

    0下载:
  2. 基于vhdl的二进制转BCD码的设计,已经经过调试,可直接使用,Vhdl based on binary code to BCD design, has been testing can be used directly
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-27
    • 文件大小:801
    • 提供者:郭帅
  1. Trafficlight

    0下载:
  2. 系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间; 因为各状态持续时间不一致,所以上述计数器应置入不同的预置数; 倒计时计数值输出至二个数码管显示; 程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号。-System to set up a two BCD code c
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1142
    • 提供者:kid
  1. binarytobcd

    0下载:
  2. 实现二进制到BCD的转换,相关算法可参考相关文档资料-convert binary number to BCD
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:3311
    • 提供者:CoCo
  1. binarytobcd_arithmetic

    0下载:
  2. Binary to BCD arithmetic. 这东西真不错-Binary to BCD arithmetic. This is something really good
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:3355
    • 提供者:Reguse
  1. bin2bcd

    0下载:
  2. Binary to BCD converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:520
    • 提供者:Natacho
  1. BIN_BCD

    0下载:
  2. 用硬件描述语音实现二进制数据转换成BCD数据-Using hardware descr iption voice to achieve the binary data into BCD data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:620040
    • 提供者:sleeeeeeep
  1. HEX2BCD

    0下载:
  2. 基于fpga的二进制和BCD骂转换模块vhdl描述,只需修改相关参数即可使用-Fpga-based binary and BCD conversion module called vhdl descr iption, simply modify the relevant parameters to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:756
    • 提供者:郭帅
  1. Binary_to_BCD_Converter

    0下载:
  2. General Binary-to-BCD Converter The linked code is a general binary-to-BCD Verilog module, and I have personally tested the code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:25760
    • 提供者:volkan
  1. 4_in_1_converter

    0下载:
  2. this program can convert binary --> gray binary --> BCD BCD --> XS3 Gray --> binary... inputs will be of 4 bits for each converter.. If you have any doubt,then mail me at prem_bombay@yahoo.co.in -this program can conver
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:860
    • 提供者:Sumit
  1. binarytobcd

    0下载:
  2. binary to bcd which converts 8bit binary input to bcd -binary to bcd which converts 8bit binary input to bcd
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:3407
    • 提供者:suri
  1. DISPLAYS_FINAL

    0下载:
  2. Program in VHDL. Developed for the spartan 3 kit. It is composed of 4-bit adder, with the result in the display board. It blocks the conversion of binary to BCD and multiplexed displays.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:405135
    • 提供者:Paulo
  1. binaryTObcd

    0下载:
  2. Binary to BCD converter in VHDL.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:92285
    • 提供者:Paulo
  1. BCD

    0下载:
  2. 基于VHDL语言,实现二进制转换为BCD码。-Based on the VHDL language, to achieve a binary code is converted to BCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2957
    • 提供者:xiaokun
  1. 2-Decimal-BCD-Decoder

    0下载:
  2. 二-十进制BCD译码器,就是用VDHL编写的将二进制转化为十进制的BCD译码器-2- Decimal BCD Decoder, is to use VDHL written into the binary decimal BCD decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:540
    • 提供者:易云箫
  1. bin2bcd

    0下载:
  2. 用来将二进制的信号转化成BCD码形式的信号,用来在数码管上显示相应的数字。-To the binary signal into BCD code in the form of signals, used in the digital display the corresponding number.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:252502
    • 提供者:da
  1. vhdl

    1下载:
  2. 用VHDL语言实现的二进制到BCD码和格雷码的转换,程序通读性比较好。-VHDL language with the binary code and Gray code to BCD conversion, the program read through is better.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1142
    • 提供者:周波
  1. BCD

    0下载:
  2. ROM vhdl for binary to BCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:616
    • 提供者:K1000
  1. 8421BCD

    0下载:
  2. 8421bcd 编码 把十进制数字转换为8421bcd型二进制序列,例如11=00010001-8421bcd coded decimal numbers into 8421bcd the type of binary sequences, such as 11 = 00010001
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:11602
    • 提供者:zhaohong
« 12 »
搜珍网 www.dssz.com